Welcome![Sign In][Sign Up]
Location:
Search - vhdl keyboard

Search list

[VHDL-FPGA-VerilogKEYBOARD_DEC-vhdl

Description: maxplus2为开发环境 vhdl编写的 键盘 程序-maxplus2 VHDL development environment for the preparation of the keyboard procedures
Platform: | Size: 1024 | Author: 丁智罡 | Hits:

[Communicationps2_keyboard

Description: 用 vhdl 编写的ps2 keyboard 的接口程序-prepared using VHDL ps2 keyboard interface procedures
Platform: | Size: 7168 | Author: 王晓杰 | Hits:

[VHDL-FPGA-Verilogjianpan_vhdl

Description: 用VHDL实现的键盘扫描程序 可以稍微修改就可使用-using VHDL keyboard scanning procedure can be slightly modified to use
Platform: | Size: 172032 | Author: 金军 | Hits:

[VHDL-FPGA-VerilogVHDL 程序举例

Description: VHDL经典编成程序。有大概100个程序。包括键盘扫描等。- these are typical program of VHDL.there are almost 100 pieces of program.including program about keyboard scanning.
Platform: | Size: 68608 | Author: 林玉儿 | Hits:

[VHDL-FPGA-VerilogFPGAprogram1

Description: 常用键盘消抖模块——VHDL源程序!!!对vhdl编程的人具有很大的帮助,不可不看 -common keyboard Consumers shaking module-- VHDL source! ! ! Right VHDL programming of great help, I can not s
Platform: | Size: 2048 | Author: 许嘉 | Hits:

[VHDL-FPGA-VerilogVHDl-several-procedure

Description: alter 公司芯片的几个简单应用,主要是红外遥控,键盘扫描等几个程序-chips alter a few simple applications, primarily infrared remote control, keyboard scanning procedures, etc.
Platform: | Size: 82944 | Author: javenliu | Hits:

[Parallel Portvhdl-com

Description: 一些vhdl的常用程序,包括键盘扫描-instantiate some common procedures, including scanning keyboard, etc
Platform: | Size: 2048 | Author: 阿飞 | Hits:

[VHDL-FPGA-Verilogkeyboard4_4

Description: 该代码是4乘4标准键盘扫描程序的源代码,用VHDL编写的,我在调试的时候忘记设置复位键了,大家也要注意了-The code is 4 x 4 standard keyboard scan a program's source code, prepared by the use of VHDL, I remember when debugging set the reset button, we have to pay attention to the
Platform: | Size: 1024 | Author: yuxyoo | Hits:

[VHDL-FPGA-VerilogPS2

Description: 使用XLINX的FPGA实现P/S2的键盘接口-The FPGA using XLINX realize P/S2 keyboard interface
Platform: | Size: 3072 | Author: toneytang | Hits:

[VHDL-FPGA-VerilogkeyBoard

Description: vhdl编写的4X4键盘扫描程序,可以有效的消除抖动,并且提供蜂鸣器输出。-VHDL prepared 4X4 keyboard scanner, you can effectively eliminate jitter and provide buzzer output.
Platform: | Size: 2048 | Author: 王贤 | Hits:

[VHDL-FPGA-Verilog__keyBoard

Description: vhdl编写的4X4键盘扫描程序,可以有效的消除抖动,并且提供蜂鸣器输出。-VHDL prepared 4X4 keyboard scanner, you can effectively eliminate jitter and provide buzzer output.
Platform: | Size: 2048 | Author: 王贤 | Hits:

[VHDL-FPGA-Verilogkeyboard

Description: 矩阵键盘的vhdl编程,非常的实用,带有去抖动 -Matrix keyboard VHDL programming, very practical, with a to-jitter
Platform: | Size: 297984 | Author: zjc | Hits:

[VHDL-FPGA-VerilogKEYBOARD

Description: 程序用vhdl语言编写,成功添加为CPU外设,可以正常使用
Platform: | Size: 1024 | Author: 罗生 | Hits:

[VHDL-FPGA-VerilogkeyBoard

Description: 组成原理实验作业,用VHDL实现的简单键盘程序-The composition of operating principle experiment, using VHDL realize simple keyboard program
Platform: | Size: 275456 | Author: 刘海 | Hits:

[Embeded-SCM Developkeyboard

Description: 键盘程序:基于cpld开发环境的4*4键盘程序,很有用哦-Keyboard procedure: CPLD-based development environment 4* 4 keyboard procedures, useful Oh
Platform: | Size: 1024 | Author: xixi | Hits:

[VHDL-FPGA-VerilogKEYBOARD

Description: 键盘扫描程序,该程序可以对4*4的键盘进行扫描-Keyboard scanning process, which can be 4* 4 keyboard scan
Platform: | Size: 1024 | Author: 舒文杰 | Hits:

[VHDL-FPGA-VerilogKEYBOARD

Description: vhdl语言编写的电子密码锁的键盘程序,本源码复制在word中,请黏贴到MAXPLUS等相应软件下运行-VHDL language electronic locks the keyboard program, the source copy of the word, please stick to the appropriate software, such as MAXPLUS run
Platform: | Size: 5120 | Author: 网天才 | Hits:

[VHDL-FPGA-Verilog4X4

Description: 基于CPLD的4X4键盘输入+液晶显示程序,以VHDL语言书写-CPLD based on the 4X4 keyboard input+ LCD procedures to VHDL language
Platform: | Size: 63488 | Author: 昌平荣 | Hits:

[VHDL-FPGA-VerilogVHDL

Description: DEMO2 数码管扫描显示电路/DEMO4 计数时钟 DEMO5 键盘扫描设计/DEMO6 波形发生器/DEMO7 用DAC实现电压信号检测/DEMO8 ADC电压测量/DEMO9 液晶驱动电路设计-DEMO2 digital tube display circuit scan/DEMO4 count clock scan design DEMO5 keyboard/DEMO6 Waveform Generator/DEMO7 implementation by DAC voltage signal detection/DEMO8 ADC voltage measurement/DEMO9 LCD driver circuit design
Platform: | Size: 736256 | Author: wang | Hits:

[VHDL-FPGA-Verilogkeyboard

Description: 用VHDL硬件描述语音实现键盘控制操作,该代码在FPGA中经过了严格的运行调式-With VHDL keyboard control realization of hardware description speech, the code in the FPGA through strict operation mode
Platform: | Size: 504832 | Author: jiajinying | Hits:
« 12 3 4 5 6 7 8 9 10 ... 20 »

CodeBus www.codebus.net